Home
last modified time | relevance | path

Searched refs:FPGA_DEBUG (Results 1 – 6 of 6) sorted by relevance

/external/u-boot/drivers/fpga/
Daltera.c19 #define FPGA_DEBUG 0 macro
104 debug_cond(FPGA_DEBUG, "%s: Launching the %s Loader...\n", in altera_load()
118 debug_cond(FPGA_DEBUG, "%s: Launching the %s Reader...\n", in altera_dump()
Dvirtex2.c18 #define FPGA_DEBUG
21 #ifdef FPGA_DEBUG
Dcyclon2.c13 #ifdef FPGA_DEBUG
DACEX1K.c15 #ifdef FPGA_DEBUG
Dspartan2.c11 #ifdef FPGA_DEBUG
Dspartan3.c16 #ifdef FPGA_DEBUG