Home
last modified time | relevance | path

Searched refs:MAKE_SWIZZLE4 (Results 1 – 18 of 18) sorted by relevance

/external/mesa3d/src/mesa/program/
Dprog_instruction.h58 #define MAKE_SWIZZLE4(a,b,c,d) (((a)<<0) | ((b)<<3) | ((c)<<6) | ((d)<<9)) macro
59 #define SWIZZLE_NOOP MAKE_SWIZZLE4(0,1,2,3)
65 #define SWIZZLE_XYZW MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_W)
66 #define SWIZZLE_XXXX MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_X)
67 #define SWIZZLE_YYYY MAKE_SWIZZLE4(SWIZZLE_Y, SWIZZLE_Y, SWIZZLE_Y, SWIZZLE_Y)
68 #define SWIZZLE_ZZZZ MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_Z, SWIZZLE_Z, SWIZZLE_Z)
69 #define SWIZZLE_WWWW MAKE_SWIZZLE4(SWIZZLE_W, SWIZZLE_W, SWIZZLE_W, SWIZZLE_W)
Dprogram_lexer.l76 #define SWIZZLE_INVAL MAKE_SWIZZLE4(SWIZZLE_NIL, SWIZZLE_NIL, \
375 yylval->swiz_mask.swizzle = MAKE_SWIZZLE4(s, s, s, s);
381 yylval->swiz_mask.swizzle = MAKE_SWIZZLE4(swiz_from_char(yytext[1]),
432 yylval->swiz_mask.swizzle = MAKE_SWIZZLE4(s, s, s, s);
442 yylval->swiz_mask.swizzle = MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X,
450 yylval->swiz_mask.swizzle = MAKE_SWIZZLE4(swiz_from_char(yytext[1]),
Dprog_parameter.c90 *swizzleOut = MAKE_SWIZZLE4(j, j, j, j); in lookup_parameter_constant()
120 *swizzleOut = MAKE_SWIZZLE4(swz[0], swz[1], swz[2], swz[3]); in lookup_parameter_constant()
325 *swizzleOut = MAKE_SWIZZLE4(swz, swz, swz, swz); in _mesa_add_typed_unnamed_constant()
Dir_to_mesa.cpp342 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_X), in swizzle_for_size()
343 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Y, SWIZZLE_Y), in swizzle_for_size()
344 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_Z), in swizzle_for_size()
345 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_W), in swizzle_for_size()
467 src0.swizzle = MAKE_SWIZZLE4(src0_swiz, src0_swiz, in emit_scalar()
469 src1.swizzle = MAKE_SWIZZLE4(src1_swiz, src1_swiz, in emit_scalar()
919 src.swizzle = MAKE_SWIZZLE4(components[0], in emit_swz()
1472 src.swizzle = MAKE_SWIZZLE4(swizzle[0], swizzle[1], swizzle[2], swizzle[3]); in visit()
1839 r.swizzle = MAKE_SWIZZLE4(swizzles[0], swizzles[1], in visit()
Dprogramopt.c178 newInst[i].SrcReg[0].Swizzle = MAKE_SWIZZLE4(i,i,i,i); in insert_mvp_mad_code()
Dprogram_parse.y635 $$.swizzle = MAKE_SWIZZLE4($1.swz, $3.swz, $5.swz, $7.swz);
/external/mesa3d/src/mesa/state_tracker/
Dst_sampler_view.c279 return MAKE_SWIZZLE4(swz[0], swz[1], swz[2], swz[3]); in swizzle_swizzle()
309 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_ONE); in compute_texture_format_swizzle()
311 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_ZERO, SWIZZLE_ONE); in compute_texture_format_swizzle()
313 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_ZERO, in compute_texture_format_swizzle()
316 return MAKE_SWIZZLE4(SWIZZLE_ZERO, SWIZZLE_ZERO, in compute_texture_format_swizzle()
319 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_ONE); in compute_texture_format_swizzle()
321 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_W); in compute_texture_format_swizzle()
330 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_ONE); in compute_texture_format_swizzle()
332 return MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_X); in compute_texture_format_swizzle()
352 return MAKE_SWIZZLE4(SWIZZLE_ZERO, SWIZZLE_ZERO, in compute_texture_format_swizzle()
[all …]
Dst_glsl_to_tgsi.cpp373 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_X), in swizzle_for_size()
374 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Y, SWIZZLE_Y), in swizzle_for_size()
375 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_Z), in swizzle_for_size()
376 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_W), in swizzle_for_size()
588 dinst->src[j].swizzle = MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_W, SWIZZLE_Z, SWIZZLE_W); in emit_asm()
590 dinst->src[j].swizzle = MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_X, SWIZZLE_Y); in emit_asm()
599 dinst->src[j].swizzle = MAKE_SWIZZLE4(swz, swz, swz, swz); in emit_asm()
785 src0.swizzle = MAKE_SWIZZLE4(src0_swiz, src0_swiz, in emit_scalar()
787 src1.swizzle = MAKE_SWIZZLE4(src1_swiz, src1_swiz, in emit_scalar()
905 src.swizzle = MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_X, SWIZZLE_Y); in st_src_reg_for_double()
[all …]
Dst_glsl_to_tgsi_private.cpp43 swizzle += component * MAKE_SWIZZLE4(1, 1, 1, 1); in swizzle_for_type()
/external/mesa3d/src/compiler/glsl/
Dbuiltin_variables.cpp84 MAKE_SWIZZLE4(SWIZZLE_X,
226 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_Z) },
228 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_Z) },
230 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_Z) },
Dbuiltin_int64.h935 …ir_swizzle *const r00C0 = swizzle(r00BF, MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_W, SWIZZLE_X, SWIZZLE_X)… in umod64()
1173 …ir_swizzle *const r00F7 = swizzle(r00F4, MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_W, SWIZZLE_X, SWIZZLE_X)… in imod64()
1183 …ir_swizzle *const r00FB = swizzle(r00F4, MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_W, SWIZZLE_X, SWIZZLE_X)… in imod64()
Dlower_packed_varyings.cpp311 #define SWIZZLE_ZWZW MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_W, SWIZZLE_Z, SWIZZLE_W)
Dbuiltin_functions.cpp4475 int yzx = MAKE_SWIZZLE4(SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_X, 0); in _cross()
4476 int zxy = MAKE_SWIZZLE4(SWIZZLE_Z, SWIZZLE_X, SWIZZLE_Y, 0); in _cross()
/external/mesa3d/src/mesa/drivers/dri/i965/
Dintel_pixel_read.c245 swizzle = MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_ONE); in intel_readpixels_blorp()
Dbrw_program.c741 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_X, SWIZZLE_X, SWIZZLE_ONE); in brw_setup_tex_for_precompile()
Dbrw_wm_surface_state.c415 return MAKE_SWIZZLE4(swizzles[GET_SWZ(t->_Swizzle, 0)], in brw_get_texture_swizzle()
Dbrw_blorp.c487 MAKE_SWIZZLE4(SWIZZLE_X, SWIZZLE_Y, SWIZZLE_Z, SWIZZLE_ONE) : in blorp_get_texture_swizzle()
/external/mesa3d/src/mesa/main/
Dffvertex_prog.c364 reg.swz = MAKE_SWIZZLE4(GET_SWZ(reg.swz, x), in swizzle()