Home
last modified time | relevance | path

Searched refs:QPU_MUX_A (Results 1 – 9 of 9) sorted by relevance

/external/mesa3d/src/gallium/drivers/vc4/
Dvc4_qpu.h56 QPU_MUX_A, in qpu_ra()
78 QPU_MUX_A, in qpu_vary()
89 QPU_MUX_A, in qpu_unif()
112 QPU_MUX_A, in qpu_tlbc()
123 QPU_MUX_A, in qpu_tlbc_ms()
Dvc4_qpu_emit.c87 if (src->mux == QPU_MUX_A) in swap_file()
90 src->mux = QPU_MUX_A; in swap_file()
181 if (mux0 == QPU_MUX_A) { in fixup_raddr_conflict()
Dvc4_qpu.c35 if (src.mux == QPU_MUX_A) { in set_src_raddr()
107 if (dst.mux == QPU_MUX_A) in qpu_m_dst()
354 uint64_t mux_a_val = (uint64_t)QPU_MUX_A << mux_shift; in swap_ra_file_mux_helper()
Dvc4_qpu_defines.h147 QPU_MUX_A, enumerator
Dvc4_qpu_validate.c67 src_regs[i].mux == QPU_MUX_A && in _reads_reg()
Dvc4_qpu_disasm.c355 if (((mux == QPU_MUX_A && !(inst & QPU_PM)) || in print_alu_src()
Dvc4_qpu_schedule.c246 if (mux != QPU_MUX_A && mux != QPU_MUX_B) in process_mux_deps()
492 if ((src_muxes[i] == QPU_MUX_A && in reads_too_soon_after_write()
/external/mesa3d/src/gallium/drivers/vc4/kernel/
Dvc4_validate_shaders.c120 if (add_a == QPU_MUX_A) in raddr_add_a_to_live_reg_index()
235 if (!(add_b == QPU_MUX_A && raddr_a == QPU_R_UNIF) && in check_tmu_write()
363 if (!(add_b == QPU_MUX_A && raddr_a == QPU_R_UNIF) && in validate_uniform_address_write()
531 if (!(add_b == QPU_MUX_A && raddr_a == QPU_R_UNIF) && in track_live_clamps()
/external/libdrm/vc4/
Dvc4_qpu_defines.h144 QPU_MUX_A, enumerator