Home
last modified time | relevance | path

Searched refs:Union (Results 1 – 25 of 388) sorted by relevance

12345678910>>...16

/external/v8/src/compiler/
Dtype-cache.h32 Type::Union(kUint8, Type::MinusZeroOrNaN(), zone());
42 Type const kHoleySmi = Type::Union(Type::SignedSmall(), Type::Hole(), zone());
49 Type::Union(kSingletonZero, Type::MinusZero(), zone());
51 Type::Union(kSingletonZero, Type::Undefined(), zone());
53 Type::Union(kSingletonTen, Type::Undefined(), zone());
55 Type const kMinusOneToOneOrMinusZeroOrNaN = Type::Union(
56 Type::Union(CreateRange(-1.0, 1.0), Type::MinusZero(), zone()),
59 Type const kZeroOrOneOrNaN = Type::Union(kZeroOrOne, Type::NaN(), zone());
63 Type::Union(kSingletonZero, Type::MinusZeroOrNaN(), zone());
66 Type::Union(kInteger, Type::MinusZero(), zone());
[all …]
Doperation-typer.cc42 signed32ish_ = Type::Union(Type::Signed32(), truncating_to_zero, zone); in OperationTyper()
43 unsigned32ish_ = Type::Union(Type::Unsigned32(), truncating_to_zero, zone); in OperationTyper()
45 falsish_ = Type::Union( in OperationTyper()
47 Type::Union(Type::Union(singleton_false_, cache_.kZeroish, zone), in OperationTyper()
48 Type::Union(singleton_empty_string_, Type::Hole(), zone), in OperationTyper()
51 truish_ = Type::Union( in OperationTyper()
53 Type::Union(Type::DetectableReceiver(), Type::Symbol(), zone), zone); in OperationTyper()
57 return Type::Union(left, right, zone()); in Merge()
195 if (nans > 0) type = Type::Union(type, Type::NaN(), zone()); in AddRanger()
221 return nans == 0 ? type : Type::Union(type, Type::NaN(), zone()); in SubtractRanger()
[all …]
/external/golang-protobuf/proto/
Dsize_test.go153 {"oneof bool", &pb.Oneof{Union: &pb.Oneof_F_Bool{true}}},
154 {"oneof zero int32", &pb.Oneof{Union: &pb.Oneof_F_Int32{0}}},
155 {"oneof big int32", &pb.Oneof{Union: &pb.Oneof_F_Int32{1 << 20}}},
156 {"oneof int64", &pb.Oneof{Union: &pb.Oneof_F_Int64{42}}},
157 {"oneof fixed32", &pb.Oneof{Union: &pb.Oneof_F_Fixed32{43}}},
158 {"oneof fixed64", &pb.Oneof{Union: &pb.Oneof_F_Fixed64{44}}},
159 {"oneof uint32", &pb.Oneof{Union: &pb.Oneof_F_Uint32{45}}},
160 {"oneof uint64", &pb.Oneof{Union: &pb.Oneof_F_Uint64{46}}},
161 {"oneof float", &pb.Oneof{Union: &pb.Oneof_F_Float{47.1}}},
162 {"oneof double", &pb.Oneof{Union: &pb.Oneof_F_Double{48.9}}},
[all …]
Dclone_test.go264 src: &pb.Communique{Union: &pb.Communique_Number{41}},
265 dst: &pb.Communique{Union: &pb.Communique_Name{"Bobby Tables"}},
266 want: &pb.Communique{Union: &pb.Communique_Number{41}},
270 dst: &pb.Communique{Union: &pb.Communique_Name{"Bobby Tables"}},
271 want: &pb.Communique{Union: &pb.Communique_Name{"Bobby Tables"}},
274 src: &pb.Communique{Union: &pb.Communique_Number{1337}},
276 want: &pb.Communique{Union: &pb.Communique_Number{1337}},
279 src: &pb.Communique{Union: &pb.Communique_Col{pb.MyMessage_RED}},
281 want: &pb.Communique{Union: &pb.Communique_Col{pb.MyMessage_RED}},
284 src: &pb.Communique{Union: &pb.Communique_Data{[]byte("hello")}},
[all …]
Dequal_test.go220 &pb.Communique{Union: &pb.Communique_Number{41}},
221 &pb.Communique{Union: &pb.Communique_Number{41}},
226 &pb.Communique{Union: &pb.Communique_Number{41}},
232 &pb.Communique{Union: &pb.Communique_Number{41}},
233 &pb.Communique{Union: &pb.Communique_Name{"Bobby Tables"}},
/external/python/cpython3/Lib/test/
Dtest_typing.py12 from typing import Union, Optional
174 self.assertEqual(Union[X], X)
175 self.assertNotEqual(Union[X], Union[X, Y])
176 self.assertEqual(Union[X, X], X)
177 self.assertNotEqual(Union[X, int], Union[X])
178 self.assertNotEqual(Union[X, int], Union[int])
179 self.assertEqual(Union[X, int].__args__, (X, int))
180 self.assertEqual(Union[X, int].__parameters__, (X,))
181 self.assertIs(Union[X, int].__origin__, Union)
185 self.assertNotEqual(Union[A, str], Union[A])
[all …]
/external/skia/tests/
DRegionTest.cpp14 static void Union(SkRegion* rgn, const SkIRect& rect) { in Union() function
26 Union(&r, SkIRect::MakeXYWH(0, 0, 1, 1)); in test_fromchrome()
34 Union(&r, SkIRect::MakeXYWH(0, 0, 3, 3)); in test_fromchrome()
35 Union(&r, SkIRect::MakeXYWH(10, 0, 3, 3)); in test_fromchrome()
36 Union(&r, SkIRect::MakeXYWH(0, 10, 13, 3)); in test_fromchrome()
68 Union(&container, SkIRect::MakeXYWH(0, 0, 40, 20)); in test_fromchrome()
69 Union(&container, SkIRect::MakeXYWH(30, 20, 10, 20)); in test_fromchrome()
75 Union(&rgn, SkIRect::MakeXYWH(0, 0, 10, 10)); in test_fromchrome()
76 Union(&rgn, SkIRect::MakeLTRB(5, 10, 20, 20)); in test_fromchrome()
300 Union(&complexRegion, SkIRect::MakeXYWH(0, 0, 1, 1)); in DEF_TEST()
[all …]
/external/skqp/tests/
DRegionTest.cpp14 static void Union(SkRegion* rgn, const SkIRect& rect) { in Union() function
26 Union(&r, SkIRect::MakeXYWH(0, 0, 1, 1)); in test_fromchrome()
34 Union(&r, SkIRect::MakeXYWH(0, 0, 3, 3)); in test_fromchrome()
35 Union(&r, SkIRect::MakeXYWH(10, 0, 3, 3)); in test_fromchrome()
36 Union(&r, SkIRect::MakeXYWH(0, 10, 13, 3)); in test_fromchrome()
68 Union(&container, SkIRect::MakeXYWH(0, 0, 40, 20)); in test_fromchrome()
69 Union(&container, SkIRect::MakeXYWH(30, 20, 10, 20)); in test_fromchrome()
75 Union(&rgn, SkIRect::MakeXYWH(0, 0, 10, 10)); in test_fromchrome()
76 Union(&rgn, SkIRect::MakeLTRB(5, 10, 20, 20)); in test_fromchrome()
300 Union(&complexRegion, SkIRect::MakeXYWH(0, 0, 1, 1)); in DEF_TEST()
[all …]
/external/clang/test/SemaCXX/
Dconstexpr-printing.cpp55 union Union { union
56 constexpr Union(int n) : b(n) {} in Union() function
57 constexpr Union(const Union &u) : b(u.b) {} in Union() function
60 constexpr Union myUnion = 76;
62 constexpr int badness(Union u) { return u.a + u.b; } // expected-note {{read of member 'a' of union… in badness()
Dtype-traits.cpp18 union Union { int i; float f; }; union
176 { int arr[T(__is_pod(Union))]; } in is_pod()
264 { int arr[F(__is_class(Union))]; } in is_class()
269 typedef Union UnionAr[10];
270 typedef Union UnionType;
274 { int arr[T(__is_union(Union))]; } in is_union()
295 { int arr[F(__is_enum(Union))]; } in is_enum()
340 { int arr[F(__is_final(Union))]; } in is_final()
363 { int arr[F(__is_sealed(Union))]; } in is_sealed()
385 { int arr[F(__is_polymorphic(Union))]; } in is_polymorphic()
[all …]
/external/clang/test/CodeGen/
D2009-03-08-ZeroEltStructCrash.c4 struct Union { struct
9 static inline void Foo(struct Union *u) { in Foo() argument
12 static void Bar(struct Union *u) { in Bar()
/external/spirv-llvm/lib/SPIRV/libSPIRV/
DSPIRVValue.h133 Union.UInt64Val = TheValue; in SPIRVConstant()
140 Union.FloatVal = TheValue; in SPIRVConstant()
147 Union.DoubleVal = TheValue; in SPIRVConstant()
153 uint64_t getZExtIntValue() const { return Union.UInt64Val;} in getZExtIntValue()
154 float getFloatValue() const { return Union.FloatVal;} in getFloatValue()
155 double getDoubleValue() const { return Union.DoubleVal;} in getDoubleValue()
170 getEncoder(O) << Union.Words[i]; in encode()
179 getDecoder(I) >> Union.Words[i]; in decode()
191 } Union; variable
/external/libcxx/test/std/utilities/meta/meta.unary/meta.unary.cat/
Dis_array.pass.cpp57 union Union {}; union
78 test_is_array<Union[]>(); in main()
88 test_is_not_array<Union>(); in main()
/external/jacoco/org.jacoco.ant/src/org/jacoco/ant/
DReportTask.java32 import org.apache.tools.ant.types.resources.Union;
65 public static class SourceFilesElement extends Union {
104 private final Union classfiles = new Union();
136 public Union createClassfiles() { in createClassfiles()
416 private final Union executiondataElement = new Union();
431 public Union createExecutiondata() { in createExecutiondata()
DMergeTask.java25 import org.apache.tools.ant.types.resources.Union;
36 private final Union files = new Union();
DInstrumentTask.java26 import org.apache.tools.ant.types.resources.Union;
38 private final Union files = new Union();
/external/pdfium/testing/
Drange_set.cpp28 void RangeSet::Union(const Range& range) { in Union() function in RangeSet
61 void RangeSet::Union(const RangeSet& range_set) { in Union() function in RangeSet
64 Union(it); in Union()
Dfake_file_access.cpp92 requested_data_.Union(RangeSet::Range(offset, offset + size)); in AddSegment()
108 available_data_.Union(requested_data_); in SetRequestedDataAvailable()
113 available_data_.Union(RangeSet::Range(0, static_cast<size_t>(GetFileSize()))); in SetWholeFileAvailable()
/external/vixl/src/aarch64/
Doperands-aarch64.cc89 CPURegList CPURegList::Union(const CPURegList& list_1, in Union() function in vixl::aarch64::CPURegList
92 return Union(list_1, Union(list_2, list_3)); in Union()
96 CPURegList CPURegList::Union(const CPURegList& list_1, in Union() function in vixl::aarch64::CPURegList
100 return Union(Union(list_1, list_2), Union(list_3, list_4)); in Union()
/external/libcxx/test/std/utilities/meta/meta.unary/meta.unary.prop/
Dis_nothrow_move_assignable.pass.cpp44 union Union {}; union
59 test_has_nothrow_assign<Union>(); in main()
Dis_move_assignable.pass.cpp45 union Union {}; union
62 test_is_move_assignable<Union> (); in main()
Dis_nothrow_copy_assignable.pass.cpp44 union Union {}; union
59 test_has_nothrow_assign<Union>(); in main()
Dis_trivially_move_assignable.pass.cpp46 union Union {}; union
66 test_has_trivial_assign<Union>(); in main()
Dis_trivially_copy_assignable.pass.cpp46 union Union {}; union
66 test_has_trivially_copy_assignable<Union>(); in main()
/external/libcxx/test/std/utilities/meta/meta.unary/meta.unary.comp/
Dunion.pass.cpp37 union Union union
45 test_union<Union>(); in main()

12345678910>>...16