Home
last modified time | relevance | path

Searched refs:pppox_init (Results 1 – 2 of 2) sorted by relevance

/external/ppp/pppd/
Dpppox.c65 void pppox_init() { in pppox_init() function
Dmain.c362 extern void pppox_init();
363 pppox_init();