Home
last modified time | relevance | path

Searched refs:shift_val (Results 1 – 11 of 11) sorted by relevance

/external/libxaac/decoder/
Dixheaacd_stereo.c175 WORD32 temp, shift_val; in ixheaacd_intensity_stereo_process() local
178 shift_val = ixheaacd_norm32(temp); in ixheaacd_intensity_stereo_process()
179 temp = ixheaacd_shl32(temp, shift_val); in ixheaacd_intensity_stereo_process()
182 shift_val = shift_val + scf_exp; in ixheaacd_intensity_stereo_process()
184 if (shift_val < 0) { in ixheaacd_intensity_stereo_process()
185 temp = ixheaacd_shl32_sat(temp, -shift_val); in ixheaacd_intensity_stereo_process()
187 temp = ixheaacd_shr32(temp, shift_val); in ixheaacd_intensity_stereo_process()
Dixheaacd_block.c1339 WORD32 shift_val) { in ixheaacd_right_shift_block() argument
1348 *temp_ptr++ = temp1 >> shift_val; in ixheaacd_right_shift_block()
1350 *temp_ptr++ = temp2 >> shift_val; in ixheaacd_right_shift_block()
1352 *temp_ptr++ = temp1 >> shift_val; in ixheaacd_right_shift_block()
1353 *temp_ptr++ = temp2 >> shift_val; in ixheaacd_right_shift_block()
Dixheaacd_block.h51 WORD shift_val);
/external/u-boot/drivers/video/exynos/
Dexynos_dp.c388 unsigned char shift_val[DP_LANE_CNT_4] = {0,}; in exynos_dp_read_dpcd_lane_stat() local
390 shift_val[0] = 0; in exynos_dp_read_dpcd_lane_stat()
391 shift_val[1] = 4; in exynos_dp_read_dpcd_lane_stat()
392 shift_val[2] = 0; in exynos_dp_read_dpcd_lane_stat()
393 shift_val[3] = 4; in exynos_dp_read_dpcd_lane_stat()
403 lane_stat[i] = (buf[(i / 2)] >> shift_val[i]) & 0x0f; in exynos_dp_read_dpcd_lane_stat()
421 unsigned char shift_val[DP_LANE_CNT_4] = {0, 4, 0, 4}; in exynos_dp_read_dpcd_adj_req() local
432 *sw = ((buf >> shift_val[lane_num]) & 0x03); in exynos_dp_read_dpcd_adj_req()
433 *em = ((buf >> shift_val[lane_num]) & 0x0c) >> 2; in exynos_dp_read_dpcd_adj_req()
/external/libaom/libaom/aom_dsp/
Dbitwriter_buffer.c80 int64_t shift_val = ++v; in aom_wb_write_uvlc() local
83 assert(shift_val > 0); in aom_wb_write_uvlc()
85 while (shift_val >>= 1) leading_zeroes += 2; in aom_wb_write_uvlc()
/external/libhevc/common/arm/
Dihevc_intra_pred_filters_neon_intr.c1240 int16x8_t shift_val, add_sat; in ihevc_intra_pred_luma_horz_neonintr() local
1259 shift_val = vshrq_n_s16(vreinterpretq_s16_u16(sub_val), 1); in ihevc_intra_pred_luma_horz_neonintr()
1261 add_sat = vqaddq_s16(shift_val, vreinterpretq_s16_u16(dup_add)); in ihevc_intra_pred_luma_horz_neonintr()
1784 uint64x1_t shift_val; in ihevc_intra_pred_luma_mode2_neonintr() local
1805 shift_val = vshr_n_u64(vreinterpret_u64_u8(rev_val_second), 8); in ihevc_intra_pred_luma_mode2_neonintr()
1806 vext_t = vext_u8(vext_t, vreinterpret_u8_u64(shift_val), 1); in ihevc_intra_pred_luma_mode2_neonintr()
1810 shift_val = vshr_n_u64(vreinterpret_u64_u8(rev_val_second), 16); in ihevc_intra_pred_luma_mode2_neonintr()
1811 vext_t = vext_u8(vext_t, vreinterpret_u8_u64(shift_val), 1); in ihevc_intra_pred_luma_mode2_neonintr()
1815 shift_val = vshr_n_u64(vreinterpret_u64_u8(rev_val_second), 24); in ihevc_intra_pred_luma_mode2_neonintr()
1816 vext_t = vext_u8(vext_t, vreinterpret_u8_u64(shift_val), 1); in ihevc_intra_pred_luma_mode2_neonintr()
[all …]
/external/mesa3d/src/gallium/auxiliary/gallivm/
Dlp_bld_format_srgb.c357 LLVMValueRef shifted, shift_val; in lp_build_float_to_srgb_packed() local
359 shift_val = lp_build_const_int_vec(gallivm, int32_type, ls); in lp_build_float_to_srgb_packed()
360 shifted = LLVMBuildShl(builder, tmpsrgb[chan], shift_val, ""); in lp_build_float_to_srgb_packed()
/external/v8/src/compiler/
Dsimd-scalar-lowering.cc541 int32_t shift_val = in LowerBinaryOpForSmallInt() local
546 graph()->NewNode(op, rep_left[i], rep_right[i]), shift_val); in LowerBinaryOpForSmallInt()
552 shift_val); in LowerBinaryOpForSmallInt()
555 shift_val); in LowerBinaryOpForSmallInt()
578 int32_t shift_val = 0; in LowerSaturateBinaryOp() local
589 shift_val = kShift16; in LowerSaturateBinaryOp()
600 shift_val = kShift8; in LowerSaturateBinaryOp()
619 is_signed ? rep_node[i] : FixUpperBits(rep_node[i], shift_val); in LowerSaturateBinaryOp()
763 int32_t shift_val = 0; in LowerPack() local
772 shift_val = kShift16; in LowerPack()
[all …]
/external/webp/src/dsp/
Dmsa_macro.h1052 #define SRAI_W2(RTYPE, in0, in1, shift_val) do { \ argument
1053 in0 = (RTYPE)SRAI_W(in0, shift_val); \
1054 in1 = (RTYPE)SRAI_W(in1, shift_val); \
1059 #define SRAI_W4(RTYPE, in0, in1, in2, in3, shift_val) do { \ argument
1060 SRAI_W2(RTYPE, in0, in1, shift_val); \
1061 SRAI_W2(RTYPE, in2, in3, shift_val); \
1073 #define SRAI_H2(RTYPE, in0, in1, shift_val) do { \ argument
1074 in0 = (RTYPE)SRAI_H(in0, shift_val); \
1075 in1 = (RTYPE)SRAI_H(in1, shift_val); \
/external/v8/src/arm64/
Dsimulator-logic-arm64.cc1550 int8_t shift_val = src2.Int(vform, i); in sshl() local
1554 if ((shift_val > CountLeadingSignBits(lj_src_val, 64)) && in sshl()
1562 } else if ((shift_val > CountLeadingZeros(lj_src_val, 64)) && in sshl()
1569 if (shift_val > 63) { in sshl()
1571 } else if (shift_val < -63) { in sshl()
1579 if (shift_val < 0) { in sshl()
1581 shift_val = -shift_val; in sshl()
1585 if (((usrc_val >> (shift_val - 1)) & 1) == 1) { in sshl()
1589 usrc_val >>= shift_val; in sshl()
1593 usrc_val |= (~UINT64_C(0) << (64 - shift_val)); in sshl()
[all …]
/external/vixl/src/aarch64/
Dlogic-aarch64.cc1728 int8_t shift_val = src2.Int(vform, i); in sshl() local
1732 if ((shift_val > CountLeadingSignBits(lj_src_val)) && (lj_src_val != 0)) { in sshl()
1739 } else if ((shift_val > CountLeadingZeros(lj_src_val)) && in sshl()
1746 if (shift_val > 63) { in sshl()
1748 } else if (shift_val < -63) { in sshl()
1756 if (shift_val < 0) { in sshl()
1758 shift_val = -shift_val; in sshl()
1762 if (((usrc_val >> (shift_val - 1)) & 1) == 1) { in sshl()
1766 usrc_val >>= shift_val; in sshl()
1770 usrc_val |= (~UINT64_C(0) << (64 - shift_val)); in sshl()
[all …]