Home
last modified time | relevance | path

Searched defs:__gen (Results 1 – 1 of 1) sorted by relevance

/external/stlport/stlport/stl/
D_algo.h222 generate(_ForwardIter __first, _ForwardIter __last, _Generator __gen) { in generate()
230 generate_n(_OutputIter __first, _Size __n, _Generator __gen) { in generate_n()