Home
last modified time | relevance | path

Searched defs:regA (Results 1 – 6 of 6) sorted by relevance

/external/swiftshader/third_party/LLVM/include/llvm/Target/
DTargetRegisterInfo.h331 bool regsOverlap(unsigned regA, unsigned regB) const { in regsOverlap()
343 bool isSubRegister(unsigned regA, unsigned regB) const { in isSubRegister()
349 bool isSuperRegister(unsigned regA, unsigned regB) const { in isSuperRegister()
/external/smali/smali/src/main/java/org/jf/smali/
DsmaliTreeWalker.java4719 short regA = parseRegister_byte((REGISTER111!=null?REGISTER111.getText():null)); in insn_format11x() local
4895 short regA = parseRegister_byte((REGISTER118!=null?REGISTER118.getText():null)); in insn_format21c_field() local
4940 short regA = parseRegister_byte((REGISTER121!=null?REGISTER121.getText():null)); in insn_format21c_string() local
4983 short regA = parseRegister_byte((REGISTER124!=null?REGISTER124.getText():null)); in insn_format21c_type() local
5026 short regA = parseRegister_byte((REGISTER127!=null?REGISTER127.getText():null)); in insn_format21ih() local
5070 short regA = parseRegister_byte((REGISTER130!=null?REGISTER130.getText():null)); in insn_format21lh() local
5114 short regA = parseRegister_byte((REGISTER133!=null?REGISTER133.getText():null)); in insn_format21s() local
5158 short regA = parseRegister_byte((REGISTER136!=null?REGISTER136.getText():null)); in insn_format21t() local
5202 short regA = parseRegister_byte((registerA!=null?registerA.getText():null)); in insn_format22b() local
5439 short regA = parseRegister_byte((registerA!=null?registerA.getText():null)); in insn_format22x() local
[all …]
/external/llvm/lib/CodeGen/
DTwoAddressInstructionPass.cpp546 isProfitableToCommute(unsigned regA, unsigned regB, unsigned regC, in isProfitableToCommute()
1217 unsigned regA = MI.getOperand(DstIdx).getReg(); in tryInstructionTransform() local
/external/swiftshader/third_party/LLVM/lib/CodeGen/
DTwoAddressInstructionPass.cpp867 unsigned regA = mi->getOperand(DstIdx).getReg(); in TryInstructionTransform() local
1145 unsigned regA = DstMO.getReg(); in runOnMachineFunction() local
/external/llvm/include/llvm/Target/
DTargetRegisterInfo.h412 bool regsOverlap(unsigned regA, unsigned regB) const { in regsOverlap()
/external/valgrind/VEX/priv/
Dguest_arm_toIR.c10525 UInt regD = 99, regN = 99, regM = 99, regA = 99, bitM = 99; in decode_V6MEDIA_instruction() local
10615 UInt regD = 99, regN = 99, regM = 99, regA = 99, bitM = 99, bitN = 99; in decode_V6MEDIA_instruction() local
10760 UInt regD = 99, regN = 99, regM = 99, regA = 99, bitM = 99; in decode_V6MEDIA_instruction() local