Home
last modified time | relevance | path

Searched refs:MB (Results 1 – 25 of 431) sorted by relevance

12345678910>>...18

/external/regex-re2/benchlog/
Dbenchlog.c239 Search_Easy0_CachedPCRE/8 10000000 127 ns/op 62.57 MB/s
40 Search_Easy0_CachedPCRE/16 10000000 156 ns/op 102.26 MB/s
41 Search_Easy0_CachedPCRE/32 5000000 213 ns/op 150.12 MB/s
42 Search_Easy0_CachedPCRE/64 5000000 326 ns/op 195.76 MB/s
43 Search_Easy0_CachedPCRE/128 5000000 427 ns/op 299.08 MB/s
44 Search_Easy0_CachedPCRE/256 1000000 1080 ns/op 236.95 MB/s
45 Search_Easy0_CachedPCRE/512 1000000 1741 ns/op 294.04 MB/s
46 Search_Easy0_CachedPCRE/1K 500000 3395 ns/op 301.54 MB/s
47 Search_Easy0_CachedPCRE/2K 200000 5680 ns/op 360.53 MB/s
48 Search_Easy0_CachedPCRE/4K 100000 10664 ns/op 384.07 MB/s
[all …]
Dbenchlog.r7062 Search_Easy0_CachedPCRE/8 10000000 149 ns/op 53.57 MB/s
63 Search_Easy0_CachedPCRE/16 10000000 194 ns/op 82.16 MB/s
64 Search_Easy0_CachedPCRE/32 5000000 291 ns/op 109.70 MB/s
65 Search_Easy0_CachedPCRE/64 5000000 452 ns/op 141.37 MB/s
66 Search_Easy0_CachedPCRE/128 2000000 773 ns/op 165.41 MB/s
67 Search_Easy0_CachedPCRE/256 500000 2135 ns/op 119.88 MB/s
68 Search_Easy0_CachedPCRE/512 500000 3674 ns/op 139.33 MB/s
69 Search_Easy0_CachedPCRE/1K 200000 7051 ns/op 145.21 MB/s
70 Search_Easy0_CachedPCRE/2K 100000 12536 ns/op 163.37 MB/s
71 Search_Easy0_CachedPCRE/4K 50000 24447 ns/op 167.54 MB/s
[all …]
Dbenchlog.wreck113 Search_Easy0_CachedPCRE/8 10000000 142 ns/op 56.08 MB/s
114 Search_Easy0_CachedPCRE/16 10000000 184 ns/op 86.70 MB/s
115 Search_Easy0_CachedPCRE/32 5000000 266 ns/op 120.15 MB/s
116 Search_Easy0_CachedPCRE/64 5000000 433 ns/op 147.54 MB/s
117 Search_Easy0_CachedPCRE/128 2000000 782 ns/op 163.61 MB/s
118 Search_Easy0_CachedPCRE/256 1000000 1435 ns/op 178.37 MB/s
119 Search_Easy0_CachedPCRE/512 500000 3151 ns/op 162.46 MB/s
120 Search_Easy0_CachedPCRE/1K 200000 6522 ns/op 156.99 MB/s
121 Search_Easy0_CachedPCRE/2K 100000 12024 ns/op 170.32 MB/s
122 Search_Easy0_CachedPCRE/4K 50000 24372 ns/op 168.06 MB/s
[all …]
Dbenchlog.mini115 Search_Easy0_CachedPCRE/8 10000000 176 ns/op 45.40 MB/s
116 Search_Easy0_CachedPCRE/16 10000000 209 ns/op 76.41 MB/s
117 Search_Easy0_CachedPCRE/32 10000000 269 ns/op 118.53 MB/s
118 Search_Easy0_CachedPCRE/64 5000000 398 ns/op 160.77 MB/s
119 Search_Easy0_CachedPCRE/128 5000000 536 ns/op 238.69 MB/s
120 Search_Easy0_CachedPCRE/256 2000000 897 ns/op 285.22 MB/s
121 Search_Easy0_CachedPCRE/512 1000000 2161 ns/op 236.92 MB/s
122 Search_Easy0_CachedPCRE/1K 500000 4769 ns/op 214.70 MB/s
123 Search_Easy0_CachedPCRE/2K 200000 8031 ns/op 255.00 MB/s
124 Search_Easy0_CachedPCRE/4K 100000 16208 ns/op 252.71 MB/s
[all …]
/external/lz4/
DREADME.md5 providing compression speed at 400 MB/s per core,
57 | memcpy | 1.000 | 7300 MB/s | 7300 MB/s |
58 |**LZ4 fast 8 (v1.7.3)**| 1.799 |**911 MB/s** | **3360 MB/s** |
59 |**LZ4 default (v1.7.3)**|**2.101**|**625 MB/s** | **3220 MB/s** |
60 | LZO 2.09 | 2.108 | 620 MB/s | 845 MB/s |
61 | QuickLZ 1.5.0 | 2.238 | 510 MB/s | 600 MB/s |
62 | Snappy 1.1.3 | 2.091 | 450 MB/s | 1550 MB/s |
63 | LZF v3.6 | 2.073 | 365 MB/s | 820 MB/s |
64 | [Zstandard] 1.1.1 -1 | 2.876 | 330 MB/s | 930 MB/s |
65 | [Zstandard] 1.1.1 -3 | 3.164 | 200 MB/s | 810 MB/s |
[all …]
/external/ltp/testcases/kernel/numa/
Dnuma01.sh84 export MB=$((1024*1024))
117 Mem_curr=$(echo "$(extract_numastat_p $pid $node) * $MB" |bc)
118 if [ $(echo "$Mem_curr < $MB" | bc) -eq 1 ]; then
150 Mem_curr=$(echo "$(extract_numastat_p $pid $Preferred_node) * $MB" |bc)
151 if [ $(echo "$Mem_curr < $MB" |bc ) -eq 1 ]; then
185 Mem_curr=$(echo "$(extract_numastat_p $pid $Preferred_node) * $MB" |bc)
186 if [ $(echo "$Mem_curr < $MB" |bc ) -eq 1 ]; then
204 Exp_incr=$(echo "$MB / $total_nodes" |bc)
212 Mem_curr=$(echo "$(extract_numastat_p $pid $node) * $MB" |bc)
230 Exp_incr=$(echo "$MB / $total_nodes" |bc)
[all …]
Dsupport_numa.c44 #define MB (1<<20) macro
75 buf = malloc(MB); in main()
80 for (i = 0; i < MB; i += PAGE_SIZE) { in main()
/external/llvm/unittests/ExecutionEngine/Orc/
DIndirectionUtilsTest.cpp21 ModuleBuilder MB(Context, "x86_64-apple-macosx10.10", ""); in TEST() local
22 Function *F = MB.createFunctionDecl<void(DummyStruct, DummyStruct)>(""); in TEST()
25 AttributeSet::get(MB.getModule()->getContext(), 1U, in TEST()
28 AttributeSet::get(MB.getModule()->getContext(), 2U, in TEST()
31 AttributeSet::get(MB.getModule()->getContext(), ~0U, in TEST()
33 F->setAttributes(AttributeSet::get(MB.getModule()->getContext(), Attrs)); in TEST()
35 auto ImplPtr = orc::createImplPointer(*F->getType(), *MB.getModule(), "", nullptr); in TEST()
/external/llvm/tools/llvm-c-test/
Dmodule.c29 LLVMMemoryBufferRef MB; in llvm_load_module() local
33 if (LLVMCreateMemoryBufferWithSTDIN(&MB, &msg)) { in llvm_load_module()
43 Ret = LLVMGetBitcodeModule2(MB, &M); in llvm_load_module()
45 Ret = LLVMParseBitcode2(MB, &M); in llvm_load_module()
48 Ret = LLVMGetBitcodeModule(MB, &M, &msg); in llvm_load_module()
50 Ret = LLVMParseBitcode(MB, &M, &msg); in llvm_load_module()
55 LLVMDisposeMemoryBuffer(MB); in llvm_load_module()
60 LLVMDisposeMemoryBuffer(MB); in llvm_load_module()
Dobject.c21 LLVMMemoryBufferRef MB; in llvm_object_list_sections() local
26 if (LLVMCreateMemoryBufferWithSTDIN(&MB, &msg)) { in llvm_object_list_sections()
31 O = LLVMCreateObjectFile(MB); in llvm_object_list_sections()
53 LLVMMemoryBufferRef MB; in llvm_object_list_symbols() local
59 if (LLVMCreateMemoryBufferWithSTDIN(&MB, &msg)) { in llvm_object_list_symbols()
64 O = LLVMCreateObjectFile(MB); in llvm_object_list_symbols()
Ddiagnostic.c63 LLVMMemoryBufferRef MB; in llvm_test_diagnostic_handler() local
65 if (LLVMCreateMemoryBufferWithSTDIN(&MB, &msg)) { in llvm_test_diagnostic_handler()
73 int Ret = LLVMGetBitcodeModule2(MB, &M); in llvm_test_diagnostic_handler()
80 LLVMDisposeMemoryBuffer(MB); in llvm_test_diagnostic_handler()
/external/llvm/unittests/Support/
DMemoryBufferTest.cpp80 ErrorOr<OwningBuffer> MB = MemoryBuffer::getFile(TestPath.c_str()); in TEST_F() local
81 std::error_code EC = MB.getError(); in TEST_F()
84 const char *BufData = MB.get()->getBufferStart(); in TEST_F()
173 OwningBuffer MB(MemoryBuffer::getMemBuffer(data)); in TEST_F() local
174 MemoryBufferRef MBR(*MB); in TEST_F()
176 EXPECT_EQ(MB->getBufferStart(), MBR.getBufferStart()); in TEST_F()
177 EXPECT_EQ(MB->getBufferIdentifier(), MBR.getBufferIdentifier()); in TEST_F()
198 ErrorOr<OwningBuffer> MB = MemoryBuffer::getFileSlice(TestPath.str(), in TEST_F() local
200 std::error_code EC = MB.getError(); in TEST_F()
202 EXPECT_EQ(0x4000UL, MB.get()->getBufferSize()); in TEST_F()
[all …]
/external/fio/t/jobs/
Dt0008-ae2fafc8.fio1 # Expected result: fio writes 16MB, reads 16+16MB
2 # Buggy result: fio writes 16MB, reads ~21MB
/external/clang/lib/Rewrite/
DRewriter.cpp230 StringRef MB = SourceMgr->getBufferData(FID); in getEditBuffer() local
231 I->second.Initialize(MB.begin(), MB.end()); in getEditBuffer()
246 StringRef MB = SourceMgr->getBufferData(FID); in InsertText() local
257 while (isWhitespaceExceptNL(MB[i])) in InsertText()
259 indentSpace = MB.substr(lineOffs, i-lineOffs); in InsertText()
323 StringRef MB = SourceMgr->getBufferData(FID); in ReplaceText() local
324 return ReplaceText(start, origLength, MB.substr(newOffs, newLength)); in ReplaceText()
347 StringRef MB = SourceMgr->getBufferData(FID); in IncreaseIndentation() local
364 while (isWhitespaceExceptNL(MB[i])) in IncreaseIndentation()
366 parentSpace = MB.substr(parentLineOffs, i-parentLineOffs); in IncreaseIndentation()
[all …]
/external/ltp/testcases/kernel/mem/lib/
Dmem.c77 int ret = alloc_mem(TESTMEM + MB, testcase); in child_alloc()
375 if (ksm_merge_data.mergeable_size == size * MB) { in ksm_child_memset()
386 for (i = 0; (unsigned int)i < unit * MB; i++) in ksm_child_memset()
393 if (ksm_merge_data.mergeable_size < size * MB) in ksm_child_memset()
409 memory[j] = mmap(NULL, unit * MB, PROT_READ|PROT_WRITE, in create_ksm_child()
414 if (madvise(memory[j], unit * MB, MADV_MERGEABLE) == -1) in create_ksm_child()
435 if (ksm_merge_data[j].mergeable_size < size * MB) { in create_ksm_child()
437 total_unit, unit * MB - 1, unit * MB); in create_ksm_child()
439 0, total_unit, 0, unit * MB - 1); in create_ksm_child()
442 0, total_unit, 0, unit * MB); in create_ksm_child()
[all …]
/external/ltp/testcases/kernel/mem/mtest06/
Dmmap2.c76 #define MB (1024 * 1024) macro
102 while (index < (size * MB)) { in mkfile()
255 memptr = mmap(0, (fsize * MB), PROT_READ | PROT_WRITE, in main()
264 memset(memptr, 'A', ((fsize * MB) / sizeof(char))); in main()
266 if (msync(memptr, ((fsize * MB) / sizeof(char)), in main()
272 if (munmap(memptr, (fsize * MB) / sizeof(char)) == -1) { in main()
/external/squashfs-tools/RELEASE-READMEs/
DREADME-2.124 Filesystems generated from a single directory of 72,784 files (2.6 MB
27 directory size is 288 MB (presumably because of one file per block).
29 Zisofs compressed size 153.50 MB
30 Cloop (isofs) compressed size 1.74 MB
31 Squashfs2.1 compressed size 612 KB (0.60 MB)
45 Zisofs compressed size 589.81 MB
46 Cloop (isofs) compressed size 471.19 MB
47 Squashfs2.0 compressed size 448.58 MB
48 Squashfs2.1 compressed size 448.58 MB
/external/llvm/lib/ExecutionEngine/
DSectionMemoryManager.cpp87 sys::MemoryBlock MB = sys::Memory::allocateMappedMemory(RequiredSize, in allocateSection() local
98 MemGroup.Near = MB; in allocateSection()
101 MemGroup.AllocatedMem.push_back(MB); in allocateSection()
102 Addr = (uintptr_t)MB.base(); in allocateSection()
103 uintptr_t EndOfBlock = Addr + MB.size(); in allocateSection()
183 for (sys::MemoryBlock &MB : MemGroup.PendingMem) in applyMemoryGroupPermissions()
184 if (std::error_code EC = sys::Memory::protectMappedMemory(MB, Permissions)) in applyMemoryGroupPermissions()
/external/icu/icu4c/source/data/unit/
Dmt.txt112 dnam{"MB"}
113 few{"{0} MB"}
114 many{"{0} MB"}
115 one{"{0} MB"}
116 other{"{0} MB"}
/external/syslinux/doc/
Dusbkey.txt16 A standard zipdrive (both the 100 MB and the 250 MB varieties) have a
19 partition 1.) The 100 MB variety has 96 cylinders, and the 250 MB
25 Vendor: 32MB Model: HardDrive Rev: 1.88
27 SCSI device sda: 64000 512-byte hdwr sectors (33 MB)
/external/llvm/tools/llvm-rtdyld/
Dllvm-rtdyld.cpp184 sys::MemoryBlock MB = sys::Memory::AllocateRWX(Size, nullptr, &Err); in preallocateSlab() local
185 if (!MB.base()) in preallocateSlab()
188 PreallocSlab = MB; in preallocateSlab()
199 sys::MemoryBlock MB((void *)OldSlabOffset, Size); in allocateFromSlab() local
201 FunctionMemory.push_back(MB); in allocateFromSlab()
203 DataMemory.push_back(MB); in allocateFromSlab()
228 sys::MemoryBlock MB = sys::Memory::AllocateRWX(Size, nullptr, &Err); in allocateCodeSection() local
229 if (!MB.base()) in allocateCodeSection()
231 FunctionMemory.push_back(MB); in allocateCodeSection()
232 return (uint8_t*)MB.base(); in allocateCodeSection()
[all …]
/external/skia/site/dev/testing/
Dtesting.md28 ( 25MB 1857) 1.36ms 8888 image mandrill_132x132_12x12.astc-5-subsets
29 ( 25MB 1856) 1.41ms 8888 image mandrill_132x132_6x6.astc-5-subsets
30 ( 25MB 1855) 1.35ms 8888 image mandrill_132x130_6x5.astc-5-subsets
31 ( 25MB 1854) 1.41ms 8888 image mandrill_132x130_12x10.astc-5-subsets
32 ( 25MB 1853) 151µs 8888 image mandrill_130x132_10x6.astc-5-subsets
33 ( 25MB 1852) 154µs 8888 image mandrill_130x130_5x5.astc-5-subsets
35 ( 748MB 5) 9.43ms unit test GLInterfaceValidation
36 ( 748MB 4) 30.3ms unit test HalfFloatTextureTest
37 ( 748MB 3) 31.2ms unit test FloatingPointTextureTest
38 ( 748MB 2) 32.9ms unit test DeferredCanvas_GPU
[all …]
/external/tremolo/Tremolo/
Dasm_arm.h67 #define MB() asm volatile ("" : : : "memory") macro
83 MB(); in XPROD32()
102 MB(); in XPROD31()
121 MB(); in XNPROD31()
/external/swiftshader/third_party/LLVM/lib/Target/PowerPC/
DPPCISelDAGToDAG.cpp82 static bool isRunOfOnes(unsigned Val, unsigned &MB, unsigned &ME);
88 unsigned &SH, unsigned &MB, unsigned &ME);
310 bool PPCDAGToDAGISel::isRunOfOnes(unsigned Val, unsigned &MB, unsigned &ME) { in isRunOfOnes() argument
313 MB = CountLeadingZeros_32(Val); in isRunOfOnes()
323 MB = CountLeadingZeros_32((Val - 1) ^ Val) + 1; in isRunOfOnes()
333 unsigned &MB, unsigned &ME) { in isRotateAndMask() argument
368 return isRunOfOnes(Mask, MB, ME); in isRotateAndMask()
415 unsigned MB, ME; in SelectBitfieldInsert() local
416 if (InsertMask && isRunOfOnes(InsertMask, MB, ME)) { in SelectBitfieldInsert()
436 SDValue Ops[] = { Op0, Op1, getI32Imm(SH), getI32Imm(MB), in SelectBitfieldInsert()
[all …]
/external/llvm/lib/Target/PowerPC/MCTargetDesc/
DPPCMCTargetDesc.h60 static inline bool isRunOfOnes(unsigned Val, unsigned &MB, unsigned &ME) { in isRunOfOnes() argument
66 MB = countLeadingZeros(Val); in isRunOfOnes()
76 MB = countLeadingZeros((Val - 1) ^ Val) + 1; in isRunOfOnes()

12345678910>>...18