Home
last modified time | relevance | path

Searched refs:end_bit (Results 1 – 6 of 6) sorted by relevance

/external/webrtc/webrtc/modules/audio_coding/neteq/
Ddtmf_buffer_unittest.cc50 && a.end_bit == b.end_bit in EqualEvents()
64 bool end_bit = true; in TEST() local
68 uint32_t payload = MakeDtmfPayload(event_no, end_bit, volume, duration); in TEST()
75 EXPECT_EQ(end_bit, event.end_bit); in TEST()
86 bool end_bit = true; in TEST() local
90 DtmfEvent event(timestamp, event_no, volume, duration, end_bit); in TEST()
121 bool end_bit = false; in TEST() local
125 DtmfEvent event(timestamp, event_no, volume, duration, end_bit); in TEST()
133 event.end_bit = true; in TEST()
147 bool end_bit = true; in TEST() local
[all …]
Ddtmf_buffer.cc81 event->end_bit = ((payload[1] & 0x80) != 0); in ParseEvent()
130 if (!it->end_bit) { in GetEvent()
150 event->end_bit = it->end_bit; in GetEvent()
156 if (it->end_bit && in GetEvent()
170 event->end_bit = it->end_bit; in GetEvent()
221 if (!it->end_bit) { in MergeEvents()
226 if (event.end_bit) { in MergeEvents()
227 it->end_bit = true; in MergeEvents()
Ddtmf_buffer.h27 bool end_bit; member
35 end_bit(false) { in DtmfEvent()
42 end_bit(end) { in DtmfEvent()
/external/v8/src/heap/
Dslot-set.h103 int end_bucket, end_cell, end_bit; in RemoveRange() local
104 SlotToIndices(end_offset, &end_bucket, &end_cell, &end_bit); in RemoveRange()
106 uint32_t end_mask = ~((1u << end_bit) - 1); in RemoveRange()
/external/v8/src/s390/
Dsimulator-s390.cc4974 uint32_t end_bit = rieInstr->I4Value() & 0x3F; in DecodeSixByte() local
4985 int32_t width = end_bit - start_bit + 1; in DecodeSixByte()
4993 selection_mask = selection_mask << (63 - end_bit); in DecodeSixByte()
6424 uint32_t end_bit = i4 & 0x3F; in EVALUATE() local
6435 int32_t width = end_bit - start_bit + 1; in EVALUATE()
6443 selection_mask = selection_mask << (63 - end_bit); in EVALUATE()
/external/vixl/src/aarch64/
Dlogic-aarch64.cc4615 static inline uint64_t Bits(uint64_t val, int start_bit, int end_bit) { in Bits() argument
4616 return ExtractUnsignedBitfield64(start_bit, end_bit, val); in Bits()