Home
last modified time | relevance | path

Searched refs:expected_to_finish_ (Results 1 – 5 of 5) sorted by relevance

/external/protobuf/gtest/test/
Dgtest_pred_impl_unittest.cc127 expected_to_finish_ = true; in SetUp()
140 if (expected_to_finish_ && !finished_) { in TearDown()
142 } else if (!expected_to_finish_ && finished_) { in TearDown()
149 static bool expected_to_finish_; member in Predicate1Test
157 bool Predicate1Test::expected_to_finish_; member in Predicate1Test
273 expected_to_finish_ = false; in TEST_F()
284 expected_to_finish_ = false; in TEST_F()
295 expected_to_finish_ = false; in TEST_F()
306 expected_to_finish_ = false; in TEST_F()
421 expected_to_finish_ = false; in TEST_F()
[all …]
/external/vulkan-validation-layers/tests/gtest-1.7.0/test/
Dgtest_pred_impl_unittest.cc126 expected_to_finish_ = true; in SetUp()
139 if (expected_to_finish_ && !finished_) { in TearDown()
141 } else if (!expected_to_finish_ && finished_) { in TearDown()
148 static bool expected_to_finish_; member in Predicate1Test
156 bool Predicate1Test::expected_to_finish_; member in Predicate1Test
272 expected_to_finish_ = false; in TEST_F()
283 expected_to_finish_ = false; in TEST_F()
294 expected_to_finish_ = false; in TEST_F()
305 expected_to_finish_ = false; in TEST_F()
420 expected_to_finish_ = false; in TEST_F()
[all …]
/external/googletest/googletest/test/
Dgtest_pred_impl_unittest.cc126 expected_to_finish_ = true; in SetUp()
139 if (expected_to_finish_ && !finished_) { in TearDown()
141 } else if (!expected_to_finish_ && finished_) { in TearDown()
148 static bool expected_to_finish_; member in Predicate1Test
156 bool Predicate1Test::expected_to_finish_; member in Predicate1Test
272 expected_to_finish_ = false; in TEST_F()
283 expected_to_finish_ = false; in TEST_F()
294 expected_to_finish_ = false; in TEST_F()
305 expected_to_finish_ = false; in TEST_F()
420 expected_to_finish_ = false; in TEST_F()
[all …]
/external/google-breakpad/src/testing/gtest/test/
Dgtest_pred_impl_unittest.cc126 expected_to_finish_ = true; in SetUp()
139 if (expected_to_finish_ && !finished_) { in TearDown()
141 } else if (!expected_to_finish_ && finished_) { in TearDown()
148 static bool expected_to_finish_; member in Predicate1Test
156 bool Predicate1Test::expected_to_finish_; member in Predicate1Test
272 expected_to_finish_ = false; in TEST_F()
283 expected_to_finish_ = false; in TEST_F()
294 expected_to_finish_ = false; in TEST_F()
305 expected_to_finish_ = false; in TEST_F()
420 expected_to_finish_ = false; in TEST_F()
[all …]
/external/v8/testing/gtest/test/
Dgtest_pred_impl_unittest.cc126 expected_to_finish_ = true; in SetUp()
139 if (expected_to_finish_ && !finished_) { in TearDown()
141 } else if (!expected_to_finish_ && finished_) { in TearDown()
148 static bool expected_to_finish_; member in Predicate1Test
156 bool Predicate1Test::expected_to_finish_; member in Predicate1Test
272 expected_to_finish_ = false; in TEST_F()
283 expected_to_finish_ = false; in TEST_F()
294 expected_to_finish_ = false; in TEST_F()
305 expected_to_finish_ = false; in TEST_F()
420 expected_to_finish_ = false; in TEST_F()
[all …]