Home
last modified time | relevance | path

Searched refs:gen (Results 1 – 25 of 749) sorted by relevance

12345678910>>...30

/external/strace/tests-m32/
Dgen_tests.am2gen.test accept.gen.test accept4.gen.test access.gen.test acct.gen.test add_key.gen.test adjtimex.
4 $(srcdir)/_newselect.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
7 $(srcdir)/accept.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
10 $(srcdir)/accept4.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
13 $(srcdir)/access.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
16 $(srcdir)/acct.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
19 $(srcdir)/add_key.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
22 $(srcdir)/adjtimex.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
25 $(srcdir)/aio.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
28 $(srcdir)/alarm.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
[all …]
/external/strace/tests/
Dgen_tests.am2gen.test accept.gen.test accept4.gen.test access.gen.test acct.gen.test add_key.gen.test adjtimex.
4 $(srcdir)/_newselect.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
7 $(srcdir)/accept.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
10 $(srcdir)/accept4.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
13 $(srcdir)/access.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
16 $(srcdir)/acct.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
19 $(srcdir)/add_key.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
22 $(srcdir)/adjtimex.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
25 $(srcdir)/aio.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
28 $(srcdir)/alarm.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
[all …]
/external/strace/tests-mx32/
Dgen_tests.am2gen.test accept.gen.test accept4.gen.test access.gen.test acct.gen.test add_key.gen.test adjtimex.
4 $(srcdir)/_newselect.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
7 $(srcdir)/accept.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
10 $(srcdir)/accept4.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
13 $(srcdir)/access.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
16 $(srcdir)/acct.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
19 $(srcdir)/add_key.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
22 $(srcdir)/adjtimex.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
25 $(srcdir)/aio.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
28 $(srcdir)/alarm.gen.test: $(abs_srcdir)/gen_tests.sh $(srcdir)/gen_tests.in
[all …]
/external/strace/xlat/
DMakemodule.am3 $(top_srcdir)/xlat/access_flags.h: $(top_srcdir)/xlat/access_flags.in $(top_srcdir)/xlat/gen.sh
4 $(AM_V_GEN)$(top_srcdir)/xlat/gen.sh $< $@
5 $(top_srcdir)/xlat/addrfams.h: $(top_srcdir)/xlat/addrfams.in $(top_srcdir)/xlat/gen.sh
6 $(AM_V_GEN)$(top_srcdir)/xlat/gen.sh $< $@
7 $(top_srcdir)/xlat/adjtimex_modes.h: $(top_srcdir)/xlat/adjtimex_modes.in $(top_srcdir)/xlat/gen.sh
8 $(AM_V_GEN)$(top_srcdir)/xlat/gen.sh $< $@
9 $(top_srcdir)/xlat/adjtimex_state.h: $(top_srcdir)/xlat/adjtimex_state.in $(top_srcdir)/xlat/gen.sh
10 $(AM_V_GEN)$(top_srcdir)/xlat/gen.sh $< $@
11 $(top_srcdir)/xlat/adjtimex_status.h: $(top_srcdir)/xlat/adjtimex_status.in $(top_srcdir)/xlat/gen.…
12 $(AM_V_GEN)$(top_srcdir)/xlat/gen.sh $< $@
[all …]
/external/python/cpython2/Lib/test/
Dtest_random.py17 return [self.gen.random() for i in xrange(n)]
20 self.gen.seed()
21 state1 = self.gen.getstate()
23 self.gen.seed() # diffent seeds at different times
24 state2 = self.gen.getstate()
29 self.gen.seed()
30 state = self.gen.getstate()
32 self.gen.setstate(state) # should regenerate the same sequence
38 self.gen.seed(arg)
40 self.assertRaises(TypeError, self.gen.seed, arg)
[all …]
Dtest_sax.py337 gen = XMLGenerator(result)
338 gen.startDocument()
339 gen.startElement("doc", {})
340 gen.endElement("doc")
341 gen.endDocument()
347 gen = XMLGenerator(result)
349 gen.startDocument()
350 gen.startElement("doc", {})
351 gen.characters("huhei")
352 gen.endElement("doc")
[all …]
/external/python/cpython2/Objects/
Dgenobject.c11 gen_traverse(PyGenObject *gen, visitproc visit, void *arg) in gen_traverse() argument
13 Py_VISIT((PyObject *)gen->gi_frame); in gen_traverse()
14 Py_VISIT(gen->gi_code); in gen_traverse()
19 gen_dealloc(PyGenObject *gen) in gen_dealloc() argument
21 PyObject *self = (PyObject *) gen; in gen_dealloc()
23 _PyObject_GC_UNTRACK(gen); in gen_dealloc()
25 if (gen->gi_weakreflist != NULL) in gen_dealloc()
30 if (gen->gi_frame != NULL && gen->gi_frame->f_stacktop != NULL) { in gen_dealloc()
32 Py_TYPE(gen)->tp_del(self); in gen_dealloc()
38 Py_CLEAR(gen->gi_frame); in gen_dealloc()
[all …]
/external/jacoco/org.jacoco.core.test/src/org/jacoco/core/runtime/
DRuntimeTestBase.java121 GeneratorAdapter gen = new GeneratorAdapter(writer.visitMethod( in generateAndInstantiateClass() local
124 gen.visitCode(); in generateAndInstantiateClass()
125 gen.loadThis(); in generateAndInstantiateClass()
126 gen.invokeConstructor(Type.getType(Object.class), new Method("<init>", in generateAndInstantiateClass()
128 gen.loadThis(); in generateAndInstantiateClass()
130 gen); in generateAndInstantiateClass()
131 gen.putStatic(classType, InstrSupport.DATAFIELD_NAME, in generateAndInstantiateClass()
133 gen.returnValue(); in generateAndInstantiateClass()
134 gen.visitMaxs(size + 1, 0); in generateAndInstantiateClass()
135 gen.visitEnd(); in generateAndInstantiateClass()
[all …]
DOfflineInstrumentationAccessGeneratorTest.java102 GeneratorAdapter gen = new GeneratorAdapter(writer.visitMethod( in generateAndInstantiateClass() local
105 gen.visitCode(); in generateAndInstantiateClass()
106 gen.loadThis(); in generateAndInstantiateClass()
107 gen.invokeConstructor(Type.getType(Object.class), new Method("<init>", in generateAndInstantiateClass()
109 gen.loadThis(); in generateAndInstantiateClass()
111 gen); in generateAndInstantiateClass()
112 gen.putStatic(classType, InstrSupport.DATAFIELD_NAME, in generateAndInstantiateClass()
114 gen.returnValue(); in generateAndInstantiateClass()
115 gen.visitMaxs(size + 1, 0); in generateAndInstantiateClass()
116 gen.visitEnd(); in generateAndInstantiateClass()
[all …]
/external/boringssl/src/crypto/x509v3/
Dv3_alt.c75 static int do_othername(GENERAL_NAME *gen, char *value, X509V3_CTX *ctx);
76 static int do_dirname(GENERAL_NAME *gen, char *value, X509V3_CTX *ctx);
105 GENERAL_NAME *gen; in STACK_OF() local
107 gen = sk_GENERAL_NAME_value(gens, i); in STACK_OF()
108 ret = i2v_GENERAL_NAME(method, gen, ret); in STACK_OF()
116 GENERAL_NAME *gen, in STACK_OF()
122 switch (gen->type) { in STACK_OF()
139 if (!X509V3_add_value_uchar("email", gen->d.ia5->data, &ret)) in STACK_OF()
144 if (!X509V3_add_value_uchar("DNS", gen->d.ia5->data, &ret)) in STACK_OF()
149 if (!X509V3_add_value_uchar("URI", gen->d.ia5->data, &ret)) in STACK_OF()
[all …]
/external/webrtc/webrtc/modules/video_coding/
Djitter_estimator_tests.cc65 ValueGenerator gen(10); in TEST_F() local
68 regular_estimator_.UpdateEstimate(gen.Delay(), gen.FrameSize()); in TEST_F()
70 low_rate_estimator_.UpdateEstimate(gen.Delay(), gen.FrameSize()); in TEST_F()
75 gen.Advance(); in TEST_F()
82 ValueGenerator gen(10); in TEST_F() local
85 regular_estimator_.UpdateEstimate(gen.Delay(), gen.FrameSize()); in TEST_F()
87 low_rate_estimator_.UpdateEstimate(gen.Delay(), gen.FrameSize()); in TEST_F()
93 gen.Advance(); in TEST_F()
99 ValueGenerator gen(10); in TEST_F() local
102 regular_estimator_.UpdateEstimate(gen.Delay(), gen.FrameSize()); in TEST_F()
[all …]
/external/protobuf/gtest/test/
Dgtest-param-test_test.cc190 const ParamGenerator<int> gen = Range(0, 10); in TEST() local
191 ParamGenerator<int>::iterator it = gen.begin(); in TEST()
225 const ParamGenerator<int> gen = Range(0, 3); in TEST() local
227 VerifyGenerator(gen, expected_values); in TEST()
233 const ParamGenerator<int> gen = Range(0, 1); in TEST() local
235 VerifyGenerator(gen, expected_values); in TEST()
241 const ParamGenerator<int> gen = Range(0, 0); in TEST() local
242 VerifyGeneratorIsEmpty(gen); in TEST()
248 const ParamGenerator<int> gen = Range(0, 9, 3); in TEST() local
250 VerifyGenerator(gen, expected_values); in TEST()
[all …]
/external/google-breakpad/src/testing/gtest/test/
Dgtest-param-test_test.cc190 const ParamGenerator<int> gen = Range(0, 10); in TEST() local
191 ParamGenerator<int>::iterator it = gen.begin(); in TEST()
225 const ParamGenerator<int> gen = Range(0, 3); in TEST() local
227 VerifyGenerator(gen, expected_values); in TEST()
233 const ParamGenerator<int> gen = Range(0, 1); in TEST() local
235 VerifyGenerator(gen, expected_values); in TEST()
241 const ParamGenerator<int> gen = Range(0, 0); in TEST() local
242 VerifyGeneratorIsEmpty(gen); in TEST()
248 const ParamGenerator<int> gen = Range(0, 9, 3); in TEST() local
250 VerifyGenerator(gen, expected_values); in TEST()
[all …]
/external/vulkan-validation-layers/tests/gtest-1.7.0/test/
Dgtest-param-test_test.cc190 const ParamGenerator<int> gen = Range(0, 10); in TEST() local
191 ParamGenerator<int>::iterator it = gen.begin(); in TEST()
225 const ParamGenerator<int> gen = Range(0, 3); in TEST() local
227 VerifyGenerator(gen, expected_values); in TEST()
233 const ParamGenerator<int> gen = Range(0, 1); in TEST() local
235 VerifyGenerator(gen, expected_values); in TEST()
241 const ParamGenerator<int> gen = Range(0, 0); in TEST() local
242 VerifyGeneratorIsEmpty(gen); in TEST()
248 const ParamGenerator<int> gen = Range(0, 9, 3); in TEST() local
250 VerifyGenerator(gen, expected_values); in TEST()
[all …]
/external/googletest/googletest/test/
Dgtest-param-test_test.cc190 const ParamGenerator<int> gen = Range(0, 10); in TEST() local
191 ParamGenerator<int>::iterator it = gen.begin(); in TEST()
225 const ParamGenerator<int> gen = Range(0, 3); in TEST() local
227 VerifyGenerator(gen, expected_values); in TEST()
233 const ParamGenerator<int> gen = Range(0, 1); in TEST() local
235 VerifyGenerator(gen, expected_values); in TEST()
241 const ParamGenerator<int> gen = Range(0, 0); in TEST() local
242 VerifyGeneratorIsEmpty(gen); in TEST()
248 const ParamGenerator<int> gen = Range(0, 9, 3); in TEST() local
250 VerifyGenerator(gen, expected_values); in TEST()
[all …]
/external/v8/testing/gtest/test/
Dgtest-param-test_test.cc190 const ParamGenerator<int> gen = Range(0, 10); in TEST() local
191 ParamGenerator<int>::iterator it = gen.begin(); in TEST()
225 const ParamGenerator<int> gen = Range(0, 3); in TEST() local
227 VerifyGenerator(gen, expected_values); in TEST()
233 const ParamGenerator<int> gen = Range(0, 1); in TEST() local
235 VerifyGenerator(gen, expected_values); in TEST()
241 const ParamGenerator<int> gen = Range(0, 0); in TEST() local
242 VerifyGeneratorIsEmpty(gen); in TEST()
248 const ParamGenerator<int> gen = Range(0, 9, 3); in TEST() local
250 VerifyGenerator(gen, expected_values); in TEST()
[all …]
/external/mesa3d/src/mesa/drivers/dri/i965/
Dbrw_inst.h76 if (devinfo->gen >= 8) { \
78 } else if (devinfo->gen >= 7) { \
80 } else if (devinfo->gen >= 6) { \
82 } else if (devinfo->gen >= 5) { \
174 FC(branch_control, 28, 28, devinfo->gen >= 8)
175 FC(acc_wr_control, 28, 28, devinfo->gen >= 6)
176 FC(mask_control_ex, 28, 28, devinfo->is_g4x || devinfo->gen == 5)
178 FC(math_function, 27, 24, devinfo->gen >= 6)
254 assert(devinfo->gen >= 6); in brw_inst_set_uip()
256 if (devinfo->gen >= 8) { in brw_inst_set_uip()
[all …]
Dbrw_pipe_control.c74 if (brw->gen == 7 && !brw->is_haswell) { in gen7_cs_stall_every_four_pipe_controls()
99 if (brw->gen >= 6 && in brw_emit_pipe_control_flush()
117 if (brw->gen >= 8) { in brw_emit_pipe_control_flush()
118 if (brw->gen == 8) in brw_emit_pipe_control_flush()
121 if (brw->gen == 9 && in brw_emit_pipe_control_flush()
139 } else if (brw->gen >= 6) { in brw_emit_pipe_control_flush()
140 if (brw->gen == 6 && in brw_emit_pipe_control_flush()
183 if (brw->gen >= 8) { in brw_emit_pipe_control_write()
184 if (brw->gen == 8) in brw_emit_pipe_control_write()
195 } else if (brw->gen >= 6) { in brw_emit_pipe_control_write()
[all …]
/external/libmojo/mojo/
Dmojo_js_unittests.isolate24 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/math_calculator.mojom.js',
25 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/no_module.mojom.js',
26 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/ping_service.mojom.js',
27 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/rect.mojom.js',
28 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/regression_tests.mojom.js',
29 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/sample_factory.mojom.js',
30 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/sample_import.mojom.js',
31 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/sample_import2.mojom.js',
32 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/sample_interfaces.mojom.js',
33 '<(PRODUCT_DIR)/gen/mojo/public/interfaces/bindings/tests/sample_service.mojom.js',
[all …]
/external/vulkan-validation-layers/
Dreg.py206 self.gen = OutputGenerator()
217 def setGenerator(self, gen): argument
219 self.gen = gen
220 self.gen.setRegistry(self)
237 self.gen.logMsg('warn', '*** Attempt to redefine',
380 self.gen.logMsg('warn', '*** NO matching group',
428 self.gen.logMsg('diag', '*** tagging type:', typename, '-> required =', required)
438 self.gen.logMsg('diag', '*** Generating dependent type',
446 … self.gen.logMsg('diag', '*** markRequired: type requires dependent <type>', subtype.text)
451 … self.gen.logMsg('diag', '*** markRequired: type requires dependent <enum>', subenum.text)
[all …]
/external/javassist/src/main/javassist/compiler/
DJavac.java37 JvstCodeGen gen; field in Javac
65 gen = new JvstCodeGen(b, thisClass, thisClass.getClassPool()); in Javac()
132 f = new CtFieldWithInit(gen.resolver.lookupClass(d), in compileField()
133 d.getVariable().get(), gen.getThisClass()); in compileField()
145 CtClass[] plist = gen.makeParamList(md); in compileMethod()
146 CtClass[] tlist = gen.makeThrowsList(md); in compileMethod()
152 gen.getThisClass()); in compileMethod()
154 md.accept(gen); in compileMethod()
162 CtClass rtype = gen.resolver.lookupClass(r); in compileMethod()
165 plist, gen.getThisClass()); in compileMethod()
[all …]
/external/clang/
DAndroid.bp34 "clang-gen-options",
35 "clang-gen-attributes",
36 "clang-gen-checkers",
37 "clang-gen-comment-commands",
38 "clang-gen-comment-html-named-character-references",
39 "clang-gen-html-tags",
40 "clang-gen-comment-nodes",
41 "clang-gen-diagnostics",
42 "clang-gen-declnodes",
43 "clang-gen-statnodes",
[all …]
/external/clang/test/Modules/
Ddependency-gen.modulemap4 …th=%t -fmodule-map-file-home-is-cwd -fmodule-map-file=%S/Inputs/dependency-gen-base.modulemap | Fi…
6 …luse Inputs/dependency-gen-base.modulemap -o %t/base.pcm -fmodule-map-file-home-is-cwd -fmodule-ma…
9 // RUN: %clang_cc1 -I. -x c++ -fmodules -include Inputs/dependency-gen.h -x c++ /dev/null -fmodule-…
12 header "Inputs/dependency-gen.h"
20 // IMPLICIT-DAG: {{[/\\]}}dependency-gen.modulemap
21 // IMPLICIT-DAG: {{ |\.[/\\]}}Inputs{{[/\\]}}dependency-gen-base.modulemap
22 // IMPLICIT-DAG: {{ |\.[/\\]}}Inputs{{[/\\]}}dependency-gen-base2.modulemap
23 // IMPLICIT-DAG: {{ |\.[/\\]}}Inputs{{[/\\]}}dependency-gen.h
24 // IMPLICIT-DAG: {{ |\.[/\\]}}Inputs{{[/\\]}}dependency-gen-included.h
25 // IMPLICIT-DAG: {{ |\.[/\\]}}Inputs{{[/\\]}}dependency-gen-included2.h
[all …]
/external/swiftshader/third_party/LLVM/lib/VMCore/
DMakefile14 BUILT_SOURCES = $(PROJ_OBJ_ROOT)/include/llvm/Intrinsics.gen
18 GENFILE:=$(PROJ_OBJ_ROOT)/include/llvm/Intrinsics.gen
23 $(ObjDir)/Intrinsics.gen.tmp: $(ObjDir)/.dir $(INTRINSICTDS) $(LLVM_TBLGEN)
24 $(Echo) Building Intrinsics.gen.tmp from Intrinsics.td
25 $(Verb) $(LLVMTableGen) $(call SYSPATH, $(INTRINSICTD)) -o $(call SYSPATH, $@) -gen-intrinsic
27 $(GENFILE): $(ObjDir)/Intrinsics.gen.tmp
29 $(EchoCmd) Updated Intrinsics.gen because Intrinsics.gen.tmp \
33 $(Echo) Installing $(DESTDIR)$(PROJ_includedir)/llvm/Intrinsics.gen
34 $(Verb) $(DataInstall) $(GENFILE) $(DESTDIR)$(PROJ_includedir)/llvm/Intrinsics.gen
/external/llvm/lib/Target/AArch64/
DCMakeLists.txt3 tablegen(LLVM AArch64GenRegisterInfo.inc -gen-register-info)
4 tablegen(LLVM AArch64GenInstrInfo.inc -gen-instr-info)
5 tablegen(LLVM AArch64GenMCCodeEmitter.inc -gen-emitter)
6 tablegen(LLVM AArch64GenMCPseudoLowering.inc -gen-pseudo-lowering)
7 tablegen(LLVM AArch64GenAsmWriter.inc -gen-asm-writer)
8 tablegen(LLVM AArch64GenAsmWriter1.inc -gen-asm-writer -asmwriternum=1)
9 tablegen(LLVM AArch64GenAsmMatcher.inc -gen-asm-matcher)
10 tablegen(LLVM AArch64GenDAGISel.inc -gen-dag-isel)
11 tablegen(LLVM AArch64GenFastISel.inc -gen-fast-isel)
12 tablegen(LLVM AArch64GenCallingConv.inc -gen-callingconv)
[all …]

12345678910>>...30