Home
last modified time | relevance | path

Searched refs:replaceReg (Results 1 – 1 of 1) sorted by relevance

/external/llvm/lib/Target/Hexagon/
DHexagonBitSimplify.cpp165 static bool replaceReg(unsigned OldR, unsigned NewR,
311 bool HexagonBitSimplify::replaceReg(unsigned OldR, unsigned NewR, in replaceReg() function in HexagonBitSimplify
1435 HBS::replaceReg(DR, ImmReg, MRI); in processBlock()
1600 Changed = HBS::replaceReg(RD.Reg, RS.Reg, MRI); in propagateRegCopy()
2106 HBS::replaceReg(RD.Reg, NewR, MRI); in simplifyTstbit()
2114 HBS::replaceReg(RD.Reg, NewR, MRI); in simplifyTstbit()
2521 HBS::replaceReg(OldPhiR, RegMap[G.Out.Reg], *MRI); in moveGroup()