Home
last modified time | relevance | path

Searched refs:delay_matrix_dec (Results 1 – 1 of 1) sorted by relevance

/external/libopus/silk/
Dresampler.c62 static const opus_int8 delay_matrix_dec[ 3 ][ 5 ] = { variable
104 S->inputDelay = delay_matrix_dec[ rateID( Fs_Hz_in ) ][ rateID( Fs_Hz_out ) ]; in silk_resampler_init()