Home
last modified time | relevance | path

Searched refs:v1 (Results 1 – 25 of 2035) sorted by relevance

12345678910>>...82

/external/valgrind/none/tests/s390x/
Dspechelper-icm-1.c27 unsigned v1; in icm_mask_0() local
31 v1 = 0xFFFFFFFF; in icm_mask_0()
34 if (branch(0, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
35 if (branch(1, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
36 if (branch(2, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
37 if (branch(3, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
38 if (branch(4, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
39 if (branch(5, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
40 if (branch(6, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
41 if (branch(7, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
[all …]
Dspechelper-icm-2.c27 unsigned v1; in icm_mask_0() local
31 v1 = 0xFFFFFFFF; in icm_mask_0()
34 if (branch(0, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
35 if (branch(1, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
36 if (branch(2, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
37 if (branch(3, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
38 if (branch(4, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
39 if (branch(5, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
40 if (branch(6, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
41 if (branch(7, 0, v1)) ++wrong; else ++ok; in icm_mask_0()
[all …]
/external/llvm/test/MC/AArch64/
Dneon-simd-shift.s8 sshr v0.8b, v1.8b, #3
9 sshr v0.4h, v1.4h, #3
10 sshr v0.2s, v1.2s, #3
11 sshr v0.16b, v1.16b, #3
12 sshr v0.8h, v1.8h, #3
13 sshr v0.4s, v1.4s, #3
14 sshr v0.2d, v1.2d, #3
26 ushr v0.8b, v1.8b, #3
27 ushr v0.4h, v1.4h, #3
28 ushr v0.2s, v1.2s, #3
[all …]
Dneon-2velem.s9 mla v0.2s, v1.2s, v2.s[2]
10 mla v0.2s, v1.2s, v22.s[2]
19 mla v0.4h, v1.4h, v2.h[2]
20 mla v0.4h, v1.4h, v15.h[2]
21 mla v0.8h, v1.8h, v2.h[7]
22 mla v0.8h, v1.8h, v14.h[6]
29 mls v0.2s, v1.2s, v2.s[2]
30 mls v0.2s, v1.2s, v22.s[2]
39 mls v0.4h, v1.4h, v2.h[2]
40 mls v0.4h, v1.4h, v15.h[2]
[all …]
Dneon-3vdiff.s17 saddl v0.8h, v1.8b, v2.8b
18 saddl v0.4s, v1.4h, v2.4h
19 saddl v0.2d, v1.2s, v2.2s
25 saddl2 v0.4s, v1.8h, v2.8h
26 saddl2 v0.8h, v1.16b, v2.16b
27 saddl2 v0.2d, v1.4s, v2.4s
33 uaddl v0.8h, v1.8b, v2.8b
34 uaddl v0.4s, v1.4h, v2.4h
35 uaddl v0.2d, v1.2s, v2.2s
41 uaddl2 v0.8h, v1.16b, v2.16b
[all …]
Dneon-diagnostics.s9 add v0.16b, v1.8b, v2.8b
10 sub v0.2d, v1.2d, v2.2s
24 fadd v0.2d, v1.2s, v2.2s
25 fsub v0.4s, v1.2s, v2.4s
26 fsub v0.8b, v1.8b, v2.8b
43 mul v0.16b, v1.8b, v2.8b
44 mul v0.2d, v1.2d, v2.2d
57 fmul v0.16b, v1.8b, v2.8b
58 fdiv v0.2s, v1.2d, v2.2d
71 and v0.8b, v1.16b, v2.8b
[all …]
/external/llvm/test/MC/AMDGPU/
Dvop2.s19 v_add_f32_e32 v1, v2, v3
23 v_add_f32 v1, 1.0, v3
27 v_add_f32 v1, -1.0, v3
31 v_add_f32 v1, 100.0, v3
35 v_add_f32 v1, -100.0, v3
43 v_mul_i32_i24_e32 v1, v2, v3
47 v_mul_i32_i24_e64 v1, v2, v3
51 v_mul_i32_i24 v1, 3, v3
55 v_mul_i32_i24 v1, -3, v3
59 v_mul_i32_i24 v1, v2, 3
[all …]
Dvop1.s14 v_mov_b32_e32 v1, v2
35 v_mov_b32 v1, v2
41 v_cvt_i32_f64 v1, v[2:3]
47 v_cvt_f32_i32 v1, v2
50 v_cvt_f32_u32 v1, v2
53 v_cvt_u32_f32 v1, v2
56 v_cvt_i32_f32 v1, v2
60 v_mov_fed_b32 v1, v2
63 v_cvt_f16_f32 v1, v2
66 v_cvt_f32_f16 v1, v2
[all …]
/external/libcxx/test/std/numerics/numarray/template.indirect.array/indirect.array.fill/
Dassign_value.pass.cpp29 std::valarray<int> v1(a1, N1); in main() local
31 v1[ia] = 51; in main()
32 assert(v1.size() == 41); in main()
33 assert(v1[ 0] == 0); in main()
34 assert(v1[ 1] == 1); in main()
35 assert(v1[ 2] == 2); in main()
36 assert(v1[ 3] == 51); in main()
37 assert(v1[ 4] == 51); in main()
38 assert(v1[ 5] == 51); in main()
39 assert(v1[ 6] == 6); in main()
[all …]
/external/libcxx/test/std/numerics/numarray/template.gslice.array/gslice.array.fill/
Dassign_value.pass.cpp25 std::valarray<int> v1(a1, sizeof(a1)/sizeof(a1[0])); in main() local
30 v1[std::gslice(3, sizes(sz, sizeof(sz)/sizeof(sz[0])), in main()
32 assert(v1.size() == 41); in main()
33 assert(v1[ 0] == 0); in main()
34 assert(v1[ 1] == 1); in main()
35 assert(v1[ 2] == 2); in main()
36 assert(v1[ 3] == 51); in main()
37 assert(v1[ 4] == 51); in main()
38 assert(v1[ 5] == 51); in main()
39 assert(v1[ 6] == 6); in main()
[all …]
/external/libcxx/test/std/numerics/numarray/template.indirect.array/indirect.array.assign/
Dindirect_array.pass.cpp34 std::valarray<int> v1(a1, N1); in main() local
37 v1[ia] = v2[ia]; in main()
38 assert(v1.size() == 41); in main()
39 assert(v1[ 0] == 0); in main()
40 assert(v1[ 1] == 1); in main()
41 assert(v1[ 2] == 2); in main()
42 assert(v1[ 3] == -3); in main()
43 assert(v1[ 4] == -4); in main()
44 assert(v1[ 5] == -5); in main()
45 assert(v1[ 6] == 6); in main()
[all …]
Dvalarray.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] = v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 0); in main()
40 assert(v1[ 4] == -1); in main()
41 assert(v1[ 5] == -2); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
/external/libcxx/test/std/numerics/numarray/template.indirect.array/indirect.array.comp.assign/
Dor.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] |= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 3); in main()
40 assert(v1[ 4] == 6); in main()
41 assert(v1[ 5] == 7); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dsubtraction.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] -= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 2); in main()
40 assert(v1[ 4] == 2); in main()
41 assert(v1[ 5] == 2); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dmodulo.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] %= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 0); in main()
40 assert(v1[ 4] == 0); in main()
41 assert(v1[ 5] == 2); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dshift_right.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] >>= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 1); in main()
40 assert(v1[ 4] == 1); in main()
41 assert(v1[ 5] == 0); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dmultiply.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] *= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 3); in main()
40 assert(v1[ 4] == 8); in main()
41 assert(v1[ 5] == 15); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Ddivide.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] /= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 3); in main()
40 assert(v1[ 4] == 2); in main()
41 assert(v1[ 5] == 1); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dand.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] &= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 1); in main()
40 assert(v1[ 4] == 0); in main()
41 assert(v1[ 5] == 1); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Daddition.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] += v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 3); in main()
40 assert(v1[ 4] == 3); in main()
41 assert(v1[ 5] == 3); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dshift_left.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] <<= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 6); in main()
40 assert(v1[ 4] == 16); in main()
41 assert(v1[ 5] == 40); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dxor.pass.cpp31 std::valarray<int> v1(a1, N1); in main() local
34 v1[ia] ^= v2; in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 2); in main()
40 assert(v1[ 4] == 6); in main()
41 assert(v1[ 5] == 6); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
/external/libcxx/test/std/numerics/numarray/template.gslice.array/gslice.array.comp.assign/
Dshift_right.pass.cpp27 std::valarray<int> v1(a1, sizeof(a1)/sizeof(a1[0])); in main() local
33 v1[std::gslice(3, sizes(sz, sizeof(sz)/sizeof(sz[0])), in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 1); in main()
40 assert(v1[ 4] == 1); in main()
41 assert(v1[ 5] == 0); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
Dsubtraction.pass.cpp27 std::valarray<int> v1(a1, sizeof(a1)/sizeof(a1[0])); in main() local
33 v1[std::gslice(3, sizes(sz, sizeof(sz)/sizeof(sz[0])), in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 2); in main()
40 assert(v1[ 4] == 2); in main()
41 assert(v1[ 5] == 2); in main()
42 assert(v1[ 6] == 6); in main()
[all …]
/external/libcxx/test/std/numerics/numarray/template.valarray/valarray.sub/
Dgslice_const.pass.cpp27 std::valarray<int> v1(a1, sizeof(a1)/sizeof(a1[0])); in main() local
33 v1[std::gslice(3, sizes(sz, sizeof(sz)/sizeof(sz[0])), in main()
35 assert(v1.size() == 41); in main()
36 assert(v1[ 0] == 0); in main()
37 assert(v1[ 1] == 1); in main()
38 assert(v1[ 2] == 2); in main()
39 assert(v1[ 3] == 0); in main()
40 assert(v1[ 4] == -1); in main()
41 assert(v1[ 5] == -2); in main()
42 assert(v1[ 6] == 6); in main()
[all …]

12345678910>>...82